Archives: Process

PDBG

Content

Tape Mounting After Partial Dicing

PDBG is done by partially etching the streets while the wafer is at full thickness. Once the streets have been etched to the desired depth, the wafer is then mounted to grinding tape. Upon grinding, the dies are separated when the grinding depth reveals the etched streets. The advantage of this approach is that any frontside processes can be done without the wafer being mounted to dicing tape or a temporary carrier. As with other plasma dicing approaches, PDBG offers the benefits of high wafer area utilization and stronger die.

Tape Frames

PDBG does not use tape frames for the plasma etching step, allowing conventional wafer-handling approaches.

Wafer Level Processing

With wafer on carrier, other processes such as lithography or metallization can be done without tape frames.

OUR PDBG PRODUCTS

EXPLORE TECHNICAL PAPERS

Want to learn more about this topic? Click here to review technical papers on PDOT principles, practices and outcomes.

SEE ALL SINGULATION PRODUCTS

To review all our singulation products, processes, capabilities, and brands, click here.

NEED ASSISTANCE?

Let our experts help you customize our processes solutions for your applications.

PDOC

Content

Dicing Without Tape

PDOC avoids using etching systems without tape and tape frames. The wafers on the carriers are loaded using standard approaches, such as SMIF (standard mechanical interface) pods. Processes such as lithography or resist strip can be done at a wafer level without the complications of tape and tape frames. Once the wafer is diced, the die are transferred back to the dicing tape and tape frame, where they can undergo the next packaging steps.

All the benefits of plasma dicing are realized, with narrower streets, no microcracking from saws or heat-affected areas from lasers, and faster singulation times. 

Tape Frames

PDOC does not use tape frames for the plasma etching step, allowing for conventional wafer-handling approaches.

Wafer Level Processing

With wafer on carrier, other processes such as lithography or metallization can be done without tape frames.

After Grinding

With thin and ultra-thin wafers, plasma dicing is done from the front side of wafers after backside grinding, maintaining the simple process flow used with saw dicing.

OUR PDOC PRODUCTS

EXPLORE TECHNICAL PAPERS

Want to learn more about this topic? Click here to review technical papers on PDOT principles, practices and outcomes.

SEE ALL SINGULATION PRODUCTS

To review all our singulation products, processes, capabilities, and brands, click here.

NEED ASSISTANCE?

Let our experts help you customize our processes solutions for your applications.

ICP

ICP technology gives you the ultimate in flexibility and control for your etching processes.  ICP produces a type of plasma source in which electric currents supply the energy that is produced by magnetic fields. The addition of an ICP RF power source connected to the cathode attracts ions directly to the wafer. This delivers you more plasma coverage and more capabilities. The result is higher plasma density, more control, less damage, and higher etch rates. 

At Plasma-Therm, we can couple our ICP technology with our RIE, DSE™, and DRIE etching processes to bring you maximum efficiency and flexibility.

Plasma Etching

Materials are etched using a chemically reactive plasma under low pressure conditions, potentially combined with ion-induced etching.

ICP Choices

Choose from two ICP reactor design approaches to build your ICP etch system: a planar ICP source, or a cylindrical ICP source.

Highly Tunable

Etch conditions can be adjusted and optimized by tuning the settings of many process parameters such as RF power, pressure, and gas flows.

No Damage

Due to the absence of an electric field near the reactor walls there is virtually no ion bombardment or wall erosion.

OUR ICP PRODUCTS

EXPLORE TECHNICAL PAPERS

Want to learn more about this topic? Click here to review technical papers on ICP principles, practices and outcomes.

SEE ALL ETCH PRODUCTS

To review all our etch products, processes, capabilities, and brands, click here.

NEED ASSISTANCE?

Let our experts help you customize our processes solutions for your applications.

RIE

Plasma RIE etching is both efficient and gives you directional etching capability for greater flexibility. You can also etch a wide range of materials including dielectric materials (SiO2, Si3N4, etc.), silicon-based materials (Si, a-Si, poly-Si), compound materials (GaAs, InP, GaN, etc.), and metals (Al, Cr, Ti, etc.). We offer both standard RIE systems and ICP-RIE systems.

Your process chemistries will differ depending on your film types. For example, dielectric etch applications use fluorine-based chemistries, while metal etch uses chlorine-based chemistries. You can adjust and optimize etch conditions by tuning the settings of process parameters such as RF power, pressure, and gas flows.

Ionization

Plasma is initiated by applying RF power at 13.56 MHz to the powered electrode (cathode), while the other electrode is grounded. The electric field ionizes the gas molecules, creating the plasma.

Ion Acceleration

Typically, chemically reactive species (ions) are accelerated toward the substrate (usually a silicon wafer) to remove a specific deposited material.

RF Power

Generation of reactive species in the bulk plasma (excited molecules, radicals, etc…) and the density of the charged species (ions and electrons) depend on the RF power applied.

Gas In/Gas Out

Process gases enter the chamber through the showerhead in the top electrode, and the reactor is evacuated by a vacuum pump. 

OUR RIE PRODUCTS

EXPLORE TECHNICAL PAPERS

Want to learn more about this topic? Click here to review technical papers on RIE principles, practices and outcomes.

SEE ALL ETCH PRODUCTS

To review all our etch products, processes, capabilities, and brands, click here.

NEED ASSISTANCE?

Let our experts help you customize our processes solutions for your applications.

DSE™

Some of your processes, including those for MEMS and nanotechnology applications, require features with relatively high aspect ratios and anisotropic profiles created in silicon. You can accomplish this using ICP-configured Deep Silicon Etching (DSE). DSE is available on our VERSALINE® platform and Mask Etcher® platform. Our CORIAL platform uses a similar process known as Deep Reactive Ion Etching (ICP-DRIE).

Our ICP-enabled process modules give you the widest process latitude, high mask selectivity, low SOI notching, and fast process switching. You also get high etch rate, superior profile control, a smoother surface, and excellent selectivity for etch depths greater than 100 µm.

Micro-Structures

DSE is routinely used for MEMS manufacturing to create deep micro-structures with high aspect ratios.

Etching Other Materials

Besides silicon, several hard-to-etch materials like glass, quartz, silicon carbide, and lithium tantalate, appeal to designers in the MEMS and packaging industries.

Control

DSE/DRIE is possible through equipment that can achieve high density of reactive species and independent control of ion current and ion energy.

Faster and Deeper

The key differentiation between DSE/DRIE and conventional ICP-RIE, is that DSE/DRIE enables faster etch rates and the formation of deep etch structures.

OUR DSE PRODUCTS

EXPLORE TECHNICAL PAPERS

Want to learn more about this topic? Click here to review technical papers on DSE/DRIE etch principles, practices and outcomes.

SEE ALL ETCH PRODUCTS

To review all our etch products, processes, capabilities, and brands, click here.

NEED ASSISTANCE?

Let our experts help you customize our processes solutions for your applications.

IBE

Content

When etch rate is less important than exacting profile control, ion beam plasma etch delivers. While the IBE etch rate is typically lower than for reactive ion etching (RIE), IBE offers you high precision (high anisotropism) for applications that demand targeted profile control. You can also use it to remove materials where RIE may not be successful. Moreover, ion beam etching provides directional flexibility that is not available in most etch processes.

IBE has many applications, including nano-machining of magnetic transducers, MEMS devices, and surface acoustic wave (SAW) and bulk acoustic wave (BAW) filter trimming. It can also be used for fabricating high-performance non-volatile memory, specifically “spin transfer torque” magneto-resistive random-access memory (MRAM).

The Beam

The IBE source generates plasma from a noble gas, typically argon. A set of electrically biased grids establish the ion beam energy and angular divergence of ions within the beam.

Beam Control

The ion beam strikes the substrate, removing material by physical sputtering. Endpoint control is available with secondary ion mass spectroscopy (SIMS) to monitor sputtered material species, and stop etching at specific layers.

Angle Control

A tilting and rotating substrate stage makes it possible to altern the ion angle of incidence. This affects sputtering yield and resulting topography.

Improve Profiles

Tilting and rotating the substrate during etching can substantially improve etch profiles and avoid material redeposition.

OUR IBE PRODUCTS

EXPLORE TECHNICAL PAPERS

Want to learn more about this topic? Click here to review technical papers on IBE principles, practices and outcomes.

SEE ALL ETCH PRODUCTS

To review all our etch products, processes, capabilities, and brands, click here.

NEED ASSISTANCE?

Let our experts help you customize our processes solutions for your applications.

ALE

Content

At the sub-10 nm scale, conventional etch processes can’t deliver the level of control you need. Atomic-level precision manufacturing ensures ultra-high etch selectivity, decreased damage and control at the nanometer scale. 

ALE technology lets you control material removal from a substrate, layer-by-layer, where the etch thickness is on the order of magnitude of a monolayer. The process recipe parameters and reactants used in our ALE systems deliver etching with exceptional precision and selectivity to masks layers. When you need to move layer by layer, our ALE systems get it done.

Great Alternative

This technology has long been of growing interest for academic and industrial applications as an alternative to continuous etching, and as an essential counterpart to atomic-layer deposition.

Widely Accepted

Despite its apparent simplicity, it took several decades since the discovery of the ALE mechanism for the technology to gain broad acceptance and applicability.

Self-Limited

Self-limited reaction is a key characteristic of atomic scale etching. Ideally with ALE, adsorption and desorption steps are self-limited at a maximum rate equivalent to 1 monolayer (ML) per cycle.

Materials

The materials suitable for ALE range from semiconductor and dielectric materials (Si, III-V, SiO2, Si3N4) to metals and 2D materials such as graphene, MoS2 etc.

OUR ALE PRODUCTS

EXPLORE TECHNICAL PAPERS

Want to learn more about this topic? Click here to review technical papers on ALE principles, practices and outcomes.

SEE ALL ETCH PRODUCTS

To review all our etch products, processes, capabilities, and brands, click here.

NEED ASSISTANCE?

Let our experts help you customize our processes solutions for your applications.

PECVD

As device fabrication thermal budgets have decreased, process flows using PECVD have become critical. Using plasma is a way to circumvent high substrate temperatures and provide quality films at temperatures much lower than with furnace-driven processes. 

PECVD configuration consists of a parallel-plate reactor with a powered upper electrode to generate a plasma. In the plasma, the precursor and reactant gases are dissociated as part of the deposition mechanism. Film uniformity, one of many key requirements, is achieved using a carefully fabricated showerhead to evenly distribute the gases. 

Being able to deliver highly uniform stoichiometric films, with low stress, and at temperatures <400C, makes PECVD very attractive. 

Films

Films typically deposited by PECVD include silicon oxide, silicon nitride, silicon carbide, diamond-like carbon (DLC), and amorphous silicon.

Applications

PECVD films are found in nearly every device, serving as encapsulants, passivation layers, hard masks, and insulators. Their many other applications range from optical coatings and RF filter tuning to sacrificial layers in MEMS devices. 

Property Tuning

Film properties such as stoichiometry, refractive index, and stress can be tuned over a wide range, depending on the application. With the addition of other reactant gases, the range of film properties can be expanded and films such as fluorinated silicon dioxide (SiOF) and silicon oxycarbide (SiOC) are possible. 

Operating Regime

Typically, PECVD operates in the 1 to 2 Torr range and at temperatures between 200C and 400C. However, lower- and higher-temperature processes are possible. 

OUR PECVD PRODUCTS

EXPLORE TECHNICAL PAPERS

Want to learn more about this topic? Click here to review technical papers on PECVD principles, practices and outcomes.

SEE ALL DEPOSITION PRODUCTS

To review all our deposition products, processes, capabilities, and brands, click here.

NEED ASSISTANCE?

Let our experts help you customize our processes solutions for your applications.

HDPCVD

Content

High-density plasma chemical vapor deposition (HDPCVD) is a special form of plasma-enhanced chemical vapor deposition (PECVD) that uses an inductively coupled plasma (ICP) source that provides a higher plasma density than a standard parallel-plate PECVD system. Just as using a low-density plasma from PECVD allowed high-quality films at lower temperatures, high plasma density using ICP along with substrate bias makes it possible to use even lower temperatures. The HDPCVD configuration provides a process route to more dense films with lower wet etch rates at temperatures lower than 150C. This lower temperature makes deposition possible for applications with thermal budgets that exceed what PECVD can deliver. With the added capability of substrate bias, trench fill is possible, along with more planarized films on patterned substrates. 

Trench Fill Application

Trenches can be filled with HDPCVD by using the bias to “drive” material forward into the feature to planarize surfaces with significant topography. Silicon dioxide and silicon nitride are dielectric materials that are commonly used in fabrication of electronic devices to isolate multiple conductive layers, capacitors, and surface passivation.

HDPCVD Compared with PECVD

HDPCVD and PECVD have different operating pressure. HDPCVD processes operate at >50 times lower pressure than PECVD. This results in needing to use 100% silane (SiH4) to get acceptable deposition rates. Another major difference is that because of bias, substrates need to be clamped in HDPCVD.

Films

HDPCVD works well for depositing silicon dioxide (SiO2), silicon nitride (SixNy), silicon oxynitride (SiOxNy), silicon carbide (SiC), and amorphous silicon (α-Si), as well as diamond-like carbon (DLC). HDPCVD has also been used to make fluorocarbon films.

OUR HDPCVD PRODUCTS

EXPLORE TECHNICAL PAPERS

Want to learn more about this topic? Click here to review technical papers on HDPCVD principles, practices and outcomes.

SEE ALL DEPOSITION PRODUCTS

To review all our deposition products, processes, capabilities, and brands, click here.

NEED ASSISTANCE?

Let our experts help you customize our processes solutions for your applications.

Click to access the login or register cheese